vhdl

2011年 2月 24日

【FPGA】篮球计分计时系统

本设计是基于FPGA的篮球计时计分器,利用7段共阴LED作为显示器件。在此设计中共接入了1个四位一体7段共阴LED显示器,2个三位一体7段共阴LED显示器,前者用来记录赛程时间,其中2位用于显示分钟,2位用于显示秒钟,后者用于记录甲乙队的分数,每队3个LED显示器显示范围可达到0~999分。赛程计时采用倒计时方式,比赛开始时启动计时,直至计时到零为止。

进一步了解